のんびり更新
×
[PR]上記の広告は3ヶ月以上新規記事投稿のないブログに表示されています。新しい記事を書く事で広告が消えます。
この記事にコメントする
» 無題
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:18:33 07/13/2010
-- Design Name:
-- Module Name: zihanki - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- zihanki
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity zihanki is
port(
end zihanki;
architecture Behavioral of zihanki is
type STATE is (S0,S1,S2,S3);
signal CSTATE, NSTATE : STATE;
signal z:std_logic;
begin
STORAGE:process(ck, RSTN)begin
if(RSTN = '0')then
CSTATE <= S0;
elsif(ck'event and ck = '1')then
CSTATE <= NSTATE;
end if;
end process;
COMB : process (CSTATE, X)begin
case CSTATE is
when S0 => i1 (X= '1')then
NSTATE <= S1;
Z <= '0';
else
NSTATE <= S0;
Z <= '0';
end if;
:--
:
end process;
process(ck)begin
if(ck'event and ck = '0')then
YY <= z;
enf if;
end process
end Behavioral;
だよ
-- Company:
-- Engineer:
--
-- Create Date: 13:18:33 07/13/2010
-- Design Name:
-- Module Name: zihanki - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- zihanki
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity zihanki is
port(
end zihanki;
architecture Behavioral of zihanki is
type STATE is (S0,S1,S2,S3);
signal CSTATE, NSTATE : STATE;
signal z:std_logic;
begin
STORAGE:process(ck, RSTN)begin
if(RSTN = '0')then
CSTATE <= S0;
elsif(ck'event and ck = '1')then
CSTATE <= NSTATE;
end if;
end process;
COMB : process (CSTATE, X)begin
case CSTATE is
when S0 => i1 (X= '1')then
NSTATE <= S1;
Z <= '0';
else
NSTATE <= S0;
Z <= '0';
end if;
:--
:
end process;
process(ck)begin
if(ck'event and ck = '0')then
YY <= z;
enf if;
end process
end Behavioral;
だよ
最新記事
(06/24)
(02/02)
(12/26)
(08/17)
(11/13)
最新コメント
[05/20 obtainablejail384.jimdo.com]
[05/15 http://carminaourada.wordpress.com/2015/01/03/exercises-for-ankle-sprain]
[02/14 ケイタキム]
[02/14 ケイタキム]
[11/24 カエティ]