忍者ブログ
のんびり更新
×

[PR]上記の広告は3ヶ月以上新規記事投稿のないブログに表示されています。新しい記事を書く事で広告が消えます。

やっと鬼のように忙しかった?1ヵ月が終わりました!
今週のHALO REACHとゲームショーのために頑張ってきたぜ!
あとあとHALO ODSTのオーディオを全部集めました!
けど、話の内容理解できん、、、orz
なんだか怖い雰囲気になって、スッキリしてないままです。

来週は多分毎年書いてる、ゲームショーの事ばかり書くかな?
今年はデジカメで写真いろいろ撮ってくるかな?
でも会場の中ってどれが写真禁止なのかわからなくて気が引けるんだよね(‥;)
とりあえず気をつけて撮ることにします。

それに友人にも会えるんで、凄い楽しみですね♪

拍手

PR
この記事にコメントする
お名前
タイトル
文字色
メールアドレス
URL
コメント
パスワード   Vodafone絵文字 i-mode絵文字 Ezweb絵文字
» 無題
----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 13:18:33 07/13/2010
-- Design Name:
-- Module Name: zihanki - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--

-- zihanki
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity zihanki is
port(




end zihanki;

architecture Behavioral of zihanki is

type STATE is (S0,S1,S2,S3);
signal CSTATE, NSTATE : STATE;
signal z:std_logic;

begin
STORAGE:process(ck, RSTN)begin
if(RSTN = '0')then
CSTATE <= S0;
elsif(ck'event and ck = '1')then
CSTATE <= NSTATE;
end if;

end process;

COMB : process (CSTATE, X)begin
case CSTATE is
when S0 => i1 (X= '1')then
NSTATE <= S1;
Z <= '0';
else
NSTATE <= S0;
Z <= '0';
end if;
:--
:
end process;

process(ck)begin
if(ck'event and ck = '0')then
YY <= z;
enf if;
end process


end Behavioral;

だよ
点細君 2010/09/14(Tue)13:11:22 編集
この記事へのトラックバック
この記事にトラックバックする:
ブログ主
名前:  ケイタキム
性別:  男性
バナー↓
のんびりゲーム生活
最新コメント
[05/20 obtainablejail384.jimdo.com]
[05/15 http://carminaourada.wordpress.com/2015/01/03/exercises-for-ankle-sprain]
[02/14 ケイタキム]
[02/14 ケイタキム]
[11/24 カエティ]
カウンター
カレンダー
03 2024/04 05
S M T W T F S
1 2 3 4 5 6
7 8 9 10 11 12 13
14 15 16 17 18 19 20
21 22 23 24 25 26 27
28 29 30
☆期待と応援☆

Copyright © [ のんびりゲーム生活 ] All rights reserved.
Special Template : 忍者ブログ de テンプレート and ブログアクセスアップ
Special Thanks : 忍者ブログ
Commercial message : [PR]